aboutsummaryrefslogtreecommitdiff
path: root/src/blink/blink.v
diff options
context:
space:
mode:
Diffstat (limited to 'src/blink/blink.v')
-rw-r--r--src/blink/blink.v20
1 files changed, 20 insertions, 0 deletions
diff --git a/src/blink/blink.v b/src/blink/blink.v
new file mode 100644
index 0000000..a8a0317
--- /dev/null
+++ b/src/blink/blink.v
@@ -0,0 +1,20 @@
+module blink(
+ input wire clk,
+ output wire led1, led2, led3
+);
+
+reg [31:0] cnt;
+
+initial begin
+ cnt <= 32'h00000000;
+end
+
+always @(posedge clk) begin
+ cnt <= cnt + 1;
+end
+
+assign led1 = cnt[24];
+assign led2 = cnt[23];
+assign led3 = cnt[22];
+
+endmodule \ No newline at end of file