From 633a02454fd60da2e5a89fcc8ce82cb31044e0ac Mon Sep 17 00:00:00 2001 From: rodri Date: Sat, 5 Jun 2021 12:01:24 +0000 Subject: public release. --- src/pwm/pwm.v | 15 +++++++++++++++ 1 file changed, 15 insertions(+) create mode 100644 src/pwm/pwm.v (limited to 'src/pwm/pwm.v') diff --git a/src/pwm/pwm.v b/src/pwm/pwm.v new file mode 100644 index 0000000..5d9e902 --- /dev/null +++ b/src/pwm/pwm.v @@ -0,0 +1,15 @@ +module pwm( + input wire clk, + output wire led +); + +reg[23:0] cnt; +always @(posedge clk) cnt = cnt + 1; + +wire[3:0] pwmin = cnt[23] ? cnt[23:19] : ~cnt[23:19]; +reg[4:0] pwm; + +always @(posedge clk) pwm <= pwm[3:0] + pwmin; + +assign led = pwm[4]; +endmodule -- cgit v1.2.3